A9VG电玩部落论坛

 找回密码
 注册
搜索
查看: 4854|回复: 24

我想出的一种提高6750开机率的方法,有源晶振

[复制链接]

精华
0
帖子
42
威望
0 点
积分
42 点
种子
0 点
注册时间
2011-5-17
最后登录
2012-5-30
 楼主| 发表于 2011-11-7 09:42  ·  广东 | 显示全部楼层 |阅读模式
本帖最后由 leonfs 于 2011-11-7 09:52 编辑

悲剧的我机器是6750的,周末自己搞了2天,最后还是不怎么好,虽然基本都能开机,但还是经常碰到开不了的,就是等很久都开不了,但有时又能秒开,反正很郁闷。。昨晚在床上想了想,觉得可以用这个方法试试,今天早上要上班,我在公司搞到个48M的有源晶振后,晚上才能回去试。

原理就是我觉得6750从母板上过来的时钟太糟糕,从示波器上看到是1.6V左右上下不到200毫伏振幅的48MHZ时钟,应该来说这样的时钟是很糟糕的。所以我想应该把这信号断掉,不用板子上的了,而自己接一个48M的有源晶振代替。

靠,我不会上图,自己下附件看吧。

需要的材料,cmod模块(其实都可以),烙铁,电容1uf左右吧,48M有源晶振(3.3v)电源的,基本能买到的都这种,电子店1~10元视乎老板良心),导线。

图中红线要短开,那是从板子过来的时钟。有源晶振连如图3个脚即可,晶振摆放从上到下看字朝上,管脚在晶振的下面。绿线是晶振的电源,理论应该接3.3V的,但FAT机的时钟信号似乎只有1.6V上下,而不同品牌的晶振接不通的电压出来的平均电压也不一样,我搞到的晶振就是接2.7v的那个点就能出来可用的信号。所以大家自己这3个焊接点自己尝试一下吧,哪个好用用哪个。

另外晶振右上角出来的紫线,越短越好

那个电容最好还是加上,1uf或者0.1uf的,问题都不大。

最后,这样搞不保证能成功,但绝对不会搞坏你的机器。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

精华
0
帖子
223
威望
0 点
积分
225 点
种子
0 点
注册时间
2005-5-28
最后登录
2017-2-7
发表于 2011-11-7 09:57  ·  新西兰 | 显示全部楼层
6750不开机恐怕和脉冲频率关系不大吧............

精华
0
帖子
42
威望
0 点
积分
42 点
种子
0 点
注册时间
2011-5-17
最后登录
2012-5-30
 楼主| 发表于 2011-11-7 10:03  ·  广东 | 显示全部楼层
jpno1 发表于 2011-11-7 09:57
6750不开机恐怕和脉冲频率关系不大吧............

我也不敢说行不行,反正经验都是实验积累的嘛。其他几根信号我用示波器看了,都是低速信号,应该跟什么线材走线什么的都没关系的。只有这个时钟,我感觉问题大大的,呵呵

精华
0
帖子
446
威望
0 点
积分
494 点
种子
5 点
注册时间
2009-10-16
最后登录
2020-3-23
发表于 2011-11-7 10:09  ·  广东 | 显示全部楼层
那条线是提供脉冲的???我还以为是 开机成功的触发线哦.

我看图是写  STY_CLK
standby clk  
该用户已被禁言

精华
0
帖子
238
威望
0 点
积分
358 点
种子
2 点
注册时间
2009-1-4
最后登录
2023-10-21
发表于 2011-11-7 10:14  ·  广东 | 显示全部楼层
LZ有实物图吗?。。。。。。。。。。

精华
0
帖子
42
威望
0 点
积分
42 点
种子
0 点
注册时间
2011-5-17
最后登录
2012-5-30
 楼主| 发表于 2011-11-7 10:15  ·  广东 | 显示全部楼层
jcrorxp 发表于 2011-11-7 10:09
那条线是提供脉冲的???我还以为是 开机成功的触发线哦.

我看图是写  STY_CLK

那个是时钟,给脉冲版工作用的48M时钟

精华
0
帖子
42
威望
0 点
积分
42 点
种子
0 点
注册时间
2011-5-17
最后登录
2012-5-30
 楼主| 发表于 2011-11-7 10:22  ·  广东 | 显示全部楼层
jcrorxp 发表于 2011-11-7 10:09
那条线是提供脉冲的???我还以为是 开机成功的触发线哦.

我看图是写  STY_CLK

兄弟,我还没权限加好友,连发个消息也没权限,公司也上不了QQ的,就按那个图接就是了,没什么好讨论的,呵呵,其实我也没试过啊,要不你等明天,我今晚试试看再说

精华
0
帖子
446
威望
0 点
积分
494 点
种子
5 点
注册时间
2009-10-16
最后登录
2020-3-23
发表于 2011-11-7 10:28  ·  广东 | 显示全部楼层
leonfs 发表于 2011-11-7 10:22
兄弟,我还没权限加好友,连发个消息也没权限,公司也上不了QQ的,就按那个图接就是了,没什么好讨论的, ...

OK ,  我只想知道每条线的频率,

现在根据已知的就是你说的

STY CLK 是 48MHz

RES 是 5Hz

那 cpu  pll

和 CPU POST呢

精华
0
帖子
42
威望
0 点
积分
42 点
种子
0 点
注册时间
2011-5-17
最后登录
2012-5-30
 楼主| 发表于 2011-11-7 10:31  ·  广东 | 显示全部楼层
只有48兆的那根是时钟,有频率可言啊。其他的都是信号线,res你看到的是总体的情况,关键的那个脉冲只有20ns左右的,cpu_pll那个只是控制线,芯片恰当的时候拉底,让CPU慢速跑。CPU POST是主板出来的信号线,告诉破解版什么时候开始算COUNTER,什么时候结束等信号。

精华
0
帖子
42
威望
0 点
积分
42 点
种子
0 点
注册时间
2011-5-17
最后登录
2012-5-30
 楼主| 发表于 2011-11-7 10:35  ·  广东 | 显示全部楼层
你要知道具体每个信号的作用,我贴一个网上找的,貌似的jasper的破解VHDL代码吧,其实过程真的很简单,最不让人放心的是那个时钟信号太差了
-- Xbox 360 reset glitch hack, 48Mhz clock + fake POST version
-- by GliGli

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity main is
  generic (
    POST_WIDTH : integer := 7
  );
  port (
    DBG : out STD_LOGIC := '0';
    POSTBIT : in STD_LOGIC;
    CLK : in STD_LOGIC;
    CPU_PLL_BYPASS : out STD_LOGIC := '0';
    CPU_RESET : inout STD_LOGIC := 'Z'
   
--    TEST : out unsigned(4 downto 0)
  );
end main;

architecture counter of main is

constant CNT_WIDTH : integer := 16;
constant POSTCNT_WIDTH : integer := 8;

constant POST_37 : integer := 13;
constant POST_39 : integer := 14;
constant POST_3B : integer := 15;

constant WIDTH_RESET_START  : integer := 1603; -- zephyr: 1723, falcon: 1603, jasper: 1628
constant WIDTH_RESET_END    : integer := 5;
constant WIDTH_BYPASS_END   : integer := 48000;

constant TIME_RESET_START  : integer := WIDTH_RESET_START;
constant TIME_RESET_END    : integer := TIME_RESET_START+WIDTH_RESET_END;
constant TIME_BYPASS_END   : integer := TIME_RESET_END+WIDTH_BYPASS_END;

signal cnt : unsigned(CNT_WIDTH-1 downto 0);
signal postcnt : unsigned(POSTCNT_WIDTH-1 downto 0);
signal pp: STD_LOGIC := '0';
signal ppp: STD_LOGIC := '0';
signal bootcnt: unsigned(POSTCNT_WIDTH-1 downto 0);
signal dummy: STD_LOGIC := '0';

begin
  bootcnt <= (others => '0');
  process(CLK, POSTBIT, CPU_RESET, postcnt) is
  begin
--    TEST <= postcnt(TEST'range);
    if (rising_edge(CLK) and dummy = '1') then
                postcnt <= (others => '0');
                bootcnt <= (others => '0');
                pp <= '0';
                ppp <= '0';
    elsif (rising_edge(CLK) and dummy = '0') then
      -- fake POST
      if (to_integer(cnt) = 0) and (CPU_RESET = '0') then
        postcnt <= (others => '0');
               
        pp <= '0';
        ppp <= '0';
      else
        if ((to_integer(postcnt) = POST_37) or (POSTBIT = ppp)) and ((POSTBIT xor pp) = '1') then -- detect POST changes / filter POST / don't filter glitch POST
          postcnt <= postcnt + 1;
          pp <= POSTBIT;
        else
          ppp <= POSTBIT;
        end if;
      end if;

      -- main counter
      if (to_integer(postcnt) < POST_39) or (to_integer(postcnt) > POST_3B) then
        cnt <= (others => '0');
      else
        if cnt<2**CNT_WIDTH-1 then
          cnt <= cnt + 1;
        end if;
      end if;
     
      -- bypass
      if (to_integer(postcnt) >= POST_37)  and (to_integer(postcnt) <= POST_3B) and (cnt < TIME_BYPASS_END) then
        CPU_PLL_BYPASS <= '1';
        DBG <= '1';
      else
        CPU_PLL_BYPASS <= '0';
        DBG <= '0';
      end if;
         
      -- reset
      if (cnt >= TIME_RESET_START) and (cnt < TIME_RESET_END) then
        CPU_RESET <= '0';
      else
        if (cnt >= TIME_RESET_END) and (cnt < TIME_BYPASS_END) then
          CPU_RESET <= '1';
        else
                  bootcnt <= bootcnt + 1;
                  CPU_RESET <= 'Z';
                  if (to_integer(bootcnt) >= 5) then
                        dummy = '1'; --disable glitch
                  end if;        
        end if;
      end if;
    end if;
   
  end process;
end counter;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

Archiver|手机版|A9VG电玩部落 川公网安备 51019002005286号

GMT+8, 2024-10-4 13:30 , Processed in 0.209150 second(s), 13 queries , Redis On.

Powered by Discuz! X3.4

Copyright © 2001-2020, Tencent Cloud.

返回顶部